Script per nombrar els PINs de la placa DE0Nano

text/x-tcl DE0NANO_pins_prac_SD.tcl — 18.7 KB

Continguts del fitxer

# Copyright (C) 1991-2011 Altera Corporation
# Your use of Altera Corporation's design tools, logic functions 
# and other software and tools, and its AMPP partner logic 
# functions, and any output files from any of the foregoing 
# (including device programming or simulation files), and any 
# associated documentation or information are expressly subject 
# to the terms and conditions of the Altera Program License 
# Subscription Agreement, Altera MegaCore Function License 
# Agreement, or other applicable license agreement, including, 
# without limitation, that your use is for the sole purpose of 
# programming logic devices manufactured by Altera and sold by 
# Altera or its authorized distributors.  Please refer to the 
# applicable agreement for further details.

# Noms especials pels pins del Header GPIO0 per les pràctiques 
# de Sistemes Digitals - Alexis López - 2013

package require ::quartus::project

set_location_assignment PIN_R8 -to CLOCK_50
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_50
set_location_assignment PIN_A15 -to LED[0]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[0]
set_location_assignment PIN_A13 -to LED[1]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[1]
set_location_assignment PIN_B13 -to LED[2]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[2]
set_location_assignment PIN_A11 -to LED[3]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[3]
set_location_assignment PIN_D1 -to LED[4]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[4]
set_location_assignment PIN_F3 -to LED[5]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[5]
set_location_assignment PIN_B1 -to LED[6]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[6]
set_location_assignment PIN_L3 -to LED[7]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[7]
set_location_assignment PIN_J15 -to KEY[0]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[0]
set_location_assignment PIN_E1 -to KEY[1]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[1]
set_location_assignment PIN_M1 -to SW[0]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0]
set_location_assignment PIN_T8 -to SW[1]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1]
set_location_assignment PIN_B9 -to SW[2]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2]
set_location_assignment PIN_M15 -to SW[3]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3]
set_location_assignment PIN_M7 -to DRAM_BA[0]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[0]
set_location_assignment PIN_M6 -to DRAM_BA[1]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[1]
set_location_assignment PIN_R6 -to DRAM_DQM[0]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM[0]
set_location_assignment PIN_T5 -to DRAM_DQM[1]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM[1]
set_location_assignment PIN_L2 -to DRAM_RAS_N
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_RAS_N
set_location_assignment PIN_L1 -to DRAM_CAS_N
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CAS_N
set_location_assignment PIN_L7 -to DRAM_CKE
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CKE
set_location_assignment PIN_R4 -to DRAM_CLK
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CLK
set_location_assignment PIN_C2 -to DRAM_WE_N
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_WE_N
set_location_assignment PIN_P6 -to DRAM_CS_N
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CS_N
set_location_assignment PIN_G2 -to DRAM_DQ[0]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[0]
set_location_assignment PIN_G1 -to DRAM_DQ[1]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[1]
set_location_assignment PIN_L8 -to DRAM_DQ[2]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[2]
set_location_assignment PIN_K5 -to DRAM_DQ[3]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[3]
set_location_assignment PIN_K2 -to DRAM_DQ[4]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[4]
set_location_assignment PIN_J2 -to DRAM_DQ[5]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[5]
set_location_assignment PIN_J1 -to DRAM_DQ[6]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[6]
set_location_assignment PIN_R7 -to DRAM_DQ[7]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[7]
set_location_assignment PIN_T4 -to DRAM_DQ[8]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[8]
set_location_assignment PIN_T2 -to DRAM_DQ[9]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[9]
set_location_assignment PIN_T3 -to DRAM_DQ[10]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[10]
set_location_assignment PIN_R3 -to DRAM_DQ[11]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[11]
set_location_assignment PIN_R5 -to DRAM_DQ[12]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[12]
set_location_assignment PIN_P3 -to DRAM_DQ[13]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[13]
set_location_assignment PIN_N3 -to DRAM_DQ[14]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[14]
set_location_assignment PIN_K1 -to DRAM_DQ[15]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[15]
set_location_assignment PIN_P2 -to DRAM_ADDR[0]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[0]
set_location_assignment PIN_N5 -to DRAM_ADDR[1]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[1]
set_location_assignment PIN_N6 -to DRAM_ADDR[2]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[2]
set_location_assignment PIN_M8 -to DRAM_ADDR[3]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[3]
set_location_assignment PIN_P8 -to DRAM_ADDR[4]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[4]
set_location_assignment PIN_T7 -to DRAM_ADDR[5]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[5]
set_location_assignment PIN_N8 -to DRAM_ADDR[6]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[6]
set_location_assignment PIN_T6 -to DRAM_ADDR[7]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[7]
set_location_assignment PIN_R1 -to DRAM_ADDR[8]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[8]
set_location_assignment PIN_P1 -to DRAM_ADDR[9]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[9]
set_location_assignment PIN_N2 -to DRAM_ADDR[10]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[10]
set_location_assignment PIN_N1 -to DRAM_ADDR[11]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[11]
set_location_assignment PIN_L4 -to DRAM_ADDR[12]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[12]
set_location_assignment PIN_H2 -to EPCS_DATA0
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EPCS_DATA0
set_location_assignment PIN_H1 -to EPCS_DCLK
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EPCS_DCLK
set_location_assignment PIN_D2 -to EPCS_NCSO
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EPCS_NCSO
set_location_assignment PIN_C1 -to EPCS_ASDO
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EPCS_ASDO
set_location_assignment PIN_F2 -to I2C_SCLK
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SCLK
set_location_assignment PIN_F1 -to I2C_SDAT
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SDAT
set_location_assignment PIN_G5 -to G_SENSOR_CS_N
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to G_SENSOR_CS_N
set_location_assignment PIN_M2 -to G_SENSOR_INT
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to G_SENSOR_INT
set_location_assignment PIN_A10 -to ADC_CS_N
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_CS_N
set_location_assignment PIN_B10 -to ADC_SADDR
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_SADDR
set_location_assignment PIN_B14 -to ADC_SCLK
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_SCLK
set_location_assignment PIN_A9 -to ADC_SDAT
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_SDAT
set_location_assignment PIN_A14 -to GPIO_2_0
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2_0
set_location_assignment PIN_C14 -to GPIO_2_2
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2_2
set_location_assignment PIN_C15 -to GPIO_2_4
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2_4
set_location_assignment PIN_D15 -to GPIO_2_6
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2_6
set_location_assignment PIN_F15 -to GPIO_2_8
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2_8
set_location_assignment PIN_F14 -to GPIO_2_10
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2_10
set_location_assignment PIN_G15 -to GPIO_2_12
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2_12
set_location_assignment PIN_E15 -to GPIO_2_IN[0]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2_IN[0]
set_location_assignment PIN_E16 -to GPIO_2_IN[1]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2_IN[1]
set_location_assignment PIN_M16 -to GPIO_2_IN[2]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2_IN[2]
set_location_assignment PIN_A8 -to GP0_pols_esq_up
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_pols_esq_up
set_location_assignment PIN_D3 -to GP0_CLK
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_CLK
set_location_assignment PIN_B8 -to GP0_pols_esq_down
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_pols_esq_down
set_location_assignment PIN_C3 -to GP0_DATA
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_DATA
set_location_assignment PIN_A2 -to GP0_VGA_Vsync
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_VGA_Vsync
set_location_assignment PIN_A3 -to GP0_VGA_Hsync
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_VGA_Hsync
set_location_assignment PIN_B3 -to GP0_VGA_G
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_VGA_G
set_location_assignment PIN_B4 -to GP0_VGA_B
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_VGA_B
set_location_assignment PIN_A4 -to GP0_VGA_R
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_VGA_R
set_location_assignment PIN_B5 -to GP0_Disp_esq_G
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_Disp_esq_G
set_location_assignment PIN_A5 -to GP0_Disp_esq_E
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_Disp_esq_E
set_location_assignment PIN_D5 -to GP0_Disp_esq_F
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_Disp_esq_F
set_location_assignment PIN_B6 -to GP0_Disp_esq_D
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_Disp_esq_D
set_location_assignment PIN_A6 -to GP0_Disp_esq_A
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_Disp_esq_A
set_location_assignment PIN_B7 -to GP0_Disp_esq_C
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_Disp_esq_C
set_location_assignment PIN_D6 -to GP0_Disp_esq_B
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_Disp_esq_B
set_location_assignment PIN_A7 -to GP0_Disp_dret_G
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_Disp_dret_G
set_location_assignment PIN_C6 -to GP0_Disp_dret_E
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_Disp_dret_E
set_location_assignment PIN_C8 -to GP0_Disp_dret_F
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_Disp_dret_F
set_location_assignment PIN_E6 -to GP0_Disp_dret_D
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_Disp_dret_D
set_location_assignment PIN_E7 -to GP0_Disp_dret_A
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_Disp_dret_A
set_location_assignment PIN_D8 -to GP0_Disp_dret_C
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_Disp_dret_C
set_location_assignment PIN_E8 -to GP0_Disp_dret_B
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_Disp_dret_B
set_location_assignment PIN_F8 -to GP0_Header_PIN4
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_Header_PIN4
set_location_assignment PIN_F9 -to GP0_Header_PIN5
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_Header_PIN5
set_location_assignment PIN_E9 -to GP0_Header_PIN6
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_Header_PIN6
set_location_assignment PIN_C9 -to GP0_Header_PIN7
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_Header_PIN7
set_location_assignment PIN_D9 -to GP0_Header_PIN8
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_Header_PIN8
set_location_assignment PIN_E11 -to GP0_Header_PIN9
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_Header_PIN9
set_location_assignment PIN_E10 -to GP0_Header_PIN10
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_Header_PIN10
set_location_assignment PIN_C11 -to GP0_Header_PIN11
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_Header_PIN11
set_location_assignment PIN_B11 -to GP0_Header_PIN12
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_Header_PIN12
set_location_assignment PIN_A12 -to GP0_Header_PIN13
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_Header_PIN13
set_location_assignment PIN_D11 -to GP0_Header_PIN14
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_Header_PIN14
set_location_assignment PIN_D12 -to GP0_pols_dret_up
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_pols_dret_up
set_location_assignment PIN_B12 -to GP0_pols_dret_down
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GP0_pols_dret_down
set_location_assignment PIN_T9 -to GPIO_1_IN[0]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_IN[0]
set_location_assignment PIN_F13 -to GPIO_1_0
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_0
set_location_assignment PIN_R9 -to GPIO_1_IN[1]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_IN[1]
set_location_assignment PIN_T15 -to GPIO_1_1
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_1
set_location_assignment PIN_T14 -to GPIO_1_2
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_2
set_location_assignment PIN_T13 -to GPIO_1_3
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_3
set_location_assignment PIN_R13 -to GPIO_1_4
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_4
set_location_assignment PIN_T12 -to GPIO_1_5
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_5
set_location_assignment PIN_R12 -to GPIO_1_6
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_6
set_location_assignment PIN_T11 -to GPIO_1_7
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_7
set_location_assignment PIN_T10 -to GPIO_1_8
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_8
set_location_assignment PIN_R11 -to GPIO_1_9
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_9
set_location_assignment PIN_P11 -to GPIO_1_10
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_10
set_location_assignment PIN_R10 -to GPIO_1_11
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_11
set_location_assignment PIN_N12 -to GPIO_1_12
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_12
set_location_assignment PIN_P9 -to GPIO_1_13
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_13
set_location_assignment PIN_N9 -to GPIO_1_14
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_14
set_location_assignment PIN_N11 -to GPIO_1_15
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_15
set_location_assignment PIN_L16 -to GPIO_1_16
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_16
set_location_assignment PIN_K16 -to GPIO_1_17
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_17
set_location_assignment PIN_R16 -to GPIO_1_18
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_18
set_location_assignment PIN_L15 -to GPIO_1_19
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_19
set_location_assignment PIN_P15 -to GPIO_1_20
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_20
set_location_assignment PIN_P16 -to GPIO_1_21
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_21
set_location_assignment PIN_R14 -to GPIO_1_22
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_22
set_location_assignment PIN_N16 -to GPIO_1_23
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_23
set_location_assignment PIN_N15 -to GPIO_1_24
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_24
set_location_assignment PIN_P14 -to GPIO_1_25
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_25
set_location_assignment PIN_L14 -to GPIO_1_26
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_26
set_location_assignment PIN_N14 -to GPIO_1_27
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_27
set_location_assignment PIN_M10 -to GPIO_1_28
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_28
set_location_assignment PIN_L13 -to GPIO_1_29
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_29
set_location_assignment PIN_J16 -to GPIO_1_30
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_30
set_location_assignment PIN_K15 -to GPIO_1_31
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_31
set_location_assignment PIN_J13 -to GPIO_1_32
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_32
set_location_assignment PIN_J14 -to GPIO_1_33
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_33
set_location_assignment PIN_B16 -to GPIO_2_1
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2_1
set_location_assignment PIN_D16 -to GPIO_2_5
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2_5
set_location_assignment PIN_G16 -to GPIO_2_11
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2_11
set_location_assignment PIN_D14 -to GPIO_2_7
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2_7
set_location_assignment PIN_F16 -to GPIO_2_9
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2_9
set_location_assignment PIN_C16 -to GPIO_2_3
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2_3